Welcome![Sign In][Sign Up]
Location:
Search - ps2 mouse verilog

Search list

[VHDL-FPGA-Verilogkeyboard_ps2_verilog

Description: 键盘鼠标的原代码,用FPGA实现,使用Verilog HDL编写,已经使用FPGA验正过了,完全可以用-keyboard and mouse of the original code, using FPGA, using Verilog HDL preparation, already in use FPGA-mortem is over, it can be used
Platform: | Size: 1480704 | Author: wpb3dm | Hits:

[VHDL-FPGA-Verilogps2mouse_verilog

Description: 本实验利用PS/2接口实现了与鼠标通信,并将鼠标的按键信息通过D6,D7,D8,D9 来直观的放映,其中D6,D7代表鼠标右键的状态,当鼠标右键没有按下时,D6,D7两 个灯都不亮,当鼠标右键有按下时,D6,D7两个灯同时点亮。与此相同,D8,D9则代 表鼠标左键的状态。而鼠标的移动状态,我们是通过七段数码管来表示,低两位的数 码管表示X轴的移动点数,高两位的数码表示Y轴的移动点数。-the experimental use of PS/2 interface with the click of the mouse communications, and the mouse buttons and information through D6. D7, D8, D9 to visual screening, D6, D7 right-clicking on behalf of the state, with the right mouse button pressed, D6, D7 two lights are not bright, with the right mouse button is pressed, D6, D7 while two lights lit. Likewise, D8, D9, on behalf of the state of the left mouse button. And the movement of the mouse state, we agreed to in paragraph 107 of the digital control, low two digital X-axis of said mobile Points Gao said the two digital mobile Y-axis points.
Platform: | Size: 12288 | Author: dragon | Hits:

[VHDL-FPGA-VerilogSourceFile

Description: PS2鼠标实验Verilog HDL代码-PS2 mouse experiments Verilog HDL code
Platform: | Size: 4096 | Author: 张猛蛟 | Hits:

[SCMSource

Description: PS2鼠标实验源代码,Verilog语言编写-PS2 mouse experiment source code, Verilog language
Platform: | Size: 4096 | Author: zhan | Hits:

[Software Engineeringmouse1

Description: PS2鼠标驱动程序,verilog语言书写-PS2 mouse driver, verilog language
Platform: | Size: 1024 | Author: chenlei | Hits:

[VHDL-FPGA-Verilogps2

Description: ps2接口源程序。标准的键盘和鼠标接口,在Xilinx SpartanII XC2S200 实验板上通过验证-ps2 interface source. Standard keyboard and mouse interface, in the experiments on-board Xilinx SpartanII XC2S200 validated
Platform: | Size: 23552 | Author: Alex | Hits:

[VHDL-FPGA-VerilogPS2

Description: 基于EPM1270的PS2键盘鼠标驱动源码Verilog-Based on the EPM1270 the PS2 keyboard and mouse-driven Verilog source
Platform: | Size: 479232 | Author: cx | Hits:

[SCMps2

Description: 基于Verilog开发的PS2的接口设计,可以模拟PS2的鼠标和键盘的接口!-Verilog-based development of the PS2 interface design, can simulate the PS2 mouse and keyboard interface!
Platform: | Size: 10240 | Author: sq | Hits:

[VHDL-FPGA-Verilogps2_mouse

Description: ps2鼠标驱动,verilog 编写,代码很短,上电初始化后即可使用-ps2 mouse driver
Platform: | Size: 2048 | Author: long Xu | Hits:

[SCMps2

Description: 鼠标的verilog源代码 ,对初学者有很大帮助-Mouse Verilog source code, there is very helpful for beginners
Platform: | Size: 3072 | Author: Jackie | Hits:

[Embeded-SCM DevelopPs2-ALL

Description: PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
Platform: | Size: 1058816 | Author: rutingfeng | Hits:

[VHDL-FPGA-VerilogPS2MAUSE

Description: 用VERILOG语言写的PS2鼠标驱动程序,用来读取鼠标的状态信息-PS2 MOUSE DRIVER
Platform: | Size: 10240 | Author: sexian | Hits:

[VHDL-FPGA-Verilogps2_mouse_interface

Description: ps2接口的鼠标与vga接口的驱动程序,Verilog HDL语言,运用于FPGA-ps2_mouse_interface and vga in Verilog HDL language, applied to FPGA
Platform: | Size: 5120 | Author: 吴笑 | Hits:

[VHDL-FPGA-Verilogps2

Description: FPGA的ps2鼠标键盘接口(NiosII组件),verilog语言编写-Ps2 mouse and keyboard interface to the FPGA (NiosII components), verilog language
Platform: | Size: 2048 | Author: 陈明 | Hits:

[Driver Developps2mouse

Description: 基于FPGA的PS2鼠标驱动,代码采用verilog语言。-the driver of ps2 mouse
Platform: | Size: 4096 | Author: 印俊 | Hits:

[VHDL-FPGA-Verilogmouse_vga_2.0

Description: 基于CycloneIII FPGA开发的PS2鼠标和VGA接口逻辑,采用Verilog编写。-CycloneIII FPGA-based development of the PS2 mouse and VGA interface logic, using Verilog.
Platform: | Size: 3448832 | Author: 鲁文帅 | Hits:

[VHDL-FPGA-Verilogps2_vga

Description: 改程序是用VERILOG语言编写的用以实现PS2鼠标实现VGA控制-Change program is written in VERILOG language to achieve VGA control PS2 mouse
Platform: | Size: 970752 | Author: 张东豪 | Hits:

[VHDL-FPGA-VerilogPS2_SOC2

Description: 利用Verilog HDL设计了PS2鼠标。 我们在Altera公司的Cyclone开发平台上测试了这个模块。正常动作,可以直接利用。-This is a state-machine driven serial-to-parallel and parallel-to-serial interface to the ps2 style mouse.
Platform: | Size: 26624 | Author: 金铁男 | Hits:

[Embeded-SCM DevelopPS2鼠标VGA画笔

Description: 鼠标连接到FPGA开发板PS2, 通过VGA显示鼠标移到。(The mouse is connected to the FPGA development board PS2, and the mouse is moved through the VGA.)
Platform: | Size: 17263616 | Author: 你在猜猜看 | Hits:

[VHDL-FPGA-VerilogPS2

Description: Nexys 4 DDR上的鼠标接受测试程序(The mouse acceptance test program on Nexys 4 DDR)
Platform: | Size: 5120 | Author: cocoon | Hits:
« 12 »

CodeBus www.codebus.net